Search found 33 matches

by peredelkin
Mon Jan 04, 2021 7:38 pm
Forum: Другое
Topic: ECU on FPGA
Replies: 22
Views: 36473

Re: ECU on FPGA

начал переписывать с 0.
пока что стало стабильней,но в определеных условиях все еще глючит при работе катушки зажигания
хваг.gif
хваг.gif (135 KiB) Viewed 25989 times
by peredelkin
Sun Jan 03, 2021 9:11 pm
Forum: Другое
Topic: ECU on FPGA
Replies: 22
Views: 36473

Re: ECU on FPGA

можно сказать,сделал hwag(HardWare Angle Generator), но работать пока не хочет. https://www.ti.com/lit/ug/spnu515c/spnu515c.pdf страницы с 1115 по 1136. у меня лишь только основа,которая показана на странице 1118 плюс синхронные счетчики на каждую катушку/форсунку(пока только зажиганием пытаюсь заня...
by peredelkin
Sat Jan 02, 2021 7:30 pm
Forum: Другое
Topic: ECU on FPGA
Replies: 22
Views: 36473

Re: ECU on FPGA

Рассинхронизация из-за помехи от искры.
Фильтр есть. Пока можно свалить все на очень длинные провода. Надо бы на машине проверить - может и не будет так сбоить. При меньшем зазоре вероятность сбоя выше многократно.


Отправлено с моего H4213 через Tapatalk

by peredelkin
Wed Apr 15, 2020 5:41 am
Forum: Железо
Topic: Кто пробовал VB525SP-E ? (спец дрова для свечек)
Replies: 7
Views: 20722

Re: Кто пробовал VB525SP-E ? (спец дрова для свечек)

meXanicus wrote:
Mon Sep 14, 2015 7:39 pm
Это значит, что для управления нужно больше 4 вольт, т.е. stm32f4 таким драйвером на прямую управлять не сможет?
От stm32 у меня работали.
by peredelkin
Sun Apr 05, 2020 12:02 am
Forum: Другое
Topic: ECU on FPGA
Replies: 22
Views: 36473

Re: ECU on FPGA


Пара счетчиков задают момент срабатывания.
Интерфейса нет - всё пока на плис.
Теперь нужно делать плату мк+плис.
Объясните кто нибудь,как описать spi на верилоге? )) :mrgreen:
by peredelkin
Thu Feb 13, 2020 5:06 am
Forum: Misc
Topic: FPGA in ECU
Replies: 43
Views: 48737

Re: FPGA in ECU

From page 653 Technical Reference Manual: The EMIF clock is output on the EMIF_CLK pin and should be used when interfacing to external SDRAM devices. The EMIF module gets the VCLK3 clock domain as the input. This clock domain is running at half the frequency of the main oscillator by default, that i...
by peredelkin
Wed Feb 12, 2020 12:01 pm
Forum: Misc
Topic: FPGA in ECU
Replies: 43
Views: 48737

Re: FPGA in ECU

Tested on real work? Now I am faced with the task of connecting the microcontroller to the FPGA through an asynchronous interface. I’m afraid that I won’t be able to connect via wires and will have to make a printed circuit board. it is a pity that not many people are interested in fpga. I will cont...
by peredelkin
Mon Feb 10, 2020 5:25 am
Forum: Misc
Topic: FPGA in ECU
Replies: 43
Views: 48737

Re: FPGA in ECU

I didn’t read the whole conversation (this is difficult for me), but I understood the essence http://www.ti.com/lit/pdf/spnu515 beginning on page 1115 end on page 1136 but most importantly at 1118 - this is exactly what I'm trying to repeat But I read the main discussion thread and noticed that some...
by peredelkin
Sat Dec 21, 2019 10:48 am
Forum: Другое
Topic: ECU on FPGA
Replies: 22
Views: 36473

Re: ECU on FPGA

08.02.2020 сылка на гит краткий видеообзор пока все плохо и из этой схемы можно вытянуть максимум 50 МГц (квартус дает Fmax около 100 МГц без компараторов угла и без переключения 360->720). возможно боьшую частоту не получить изза большой разрядности счетчиков (24 бита некоторые). счетчик периода 24...
by peredelkin
Fri Nov 22, 2019 4:07 pm
Forum: Другое
Topic: ECU on FPGA
Replies: 22
Views: 36473

Re: ECU on FPGA

наброски аппаратного генератора углов,подобного тмс570. но там он не работает не только у меня. нужно еще много причесывать и определиться с реакциями на разные ситуации. потом интерфейс еще думать и самое сложное для меня: критерий обновления компараторов. ==== https://github.com/peredelkin/fpga_hw...
by peredelkin
Fri Nov 22, 2019 12:05 pm
Forum: Другое
Topic: ECU on FPGA
Replies: 22
Views: 36473

Re: ECU on FPGA

by peredelkin
Thu Aug 15, 2019 1:59 pm
Forum: Dev: Software
Topic: TMS570 first steps
Replies: 12
Views: 12004

Re: TMS570 first steps

https://e2e.ti.com/support/microcontrollers/hercules/f/312/p/829013/3066760#3066760 The situation is such that even at the Ti support forum there are not many questions about HWAG, and there are even fewer answers. I’m not sure that they will answer my question there, but after re-reading the refere...
by peredelkin
Sat Aug 10, 2019 1:03 pm
Forum: Dev: Software
Topic: TMS570 first steps
Replies: 12
Views: 12004

Re: TMS570 first steps

Has anyone already figured out how n2het + hwag works? https://www.youtube.com/watch?v=4fQjOfGvuek L00 CNT { next = L01, angle_count = ON, reg = B, comp = GE, max = 3839} L01 ACMP { next = L02, en_pin_action = ON, pin = 1, action = SET, reg = B, data = 192 } L02 ACMP { next = L00, en_pin_action = ON...
by peredelkin
Fri Aug 02, 2019 5:42 am
Forum: Другое
Topic: Всякие глупые вопросы, терзающие меня
Replies: 1158
Views: 802573

Re: Всякие глупые вопросы, терзающие меня

Никому на глаза не попадалось более подробное описание n2het инструкций tms570ls12x, чем в spnu515c.pdf и spnu490.pdf?
Впрочем, что мне нужно было, я нашёл в
https://e2e.ti.com/support/microcontrollers/hercules/f/312/t/324732

by peredelkin
Thu Jan 25, 2018 9:43 am
Forum: Железо
Topic: Проблематика обвязки вокруг 3в АЦП
Replies: 183
Views: 217001

Re: Проблематика обвязки вокруг 3в АЦП

я не верю, что на данный момент у rusEFI не хватает точности измерений аналоговых сигналов, учитывая возможность "калибровки" АЦП с помощью настройки "stm32 voltage" (я выставил там "3.275В" для своей платы). В противном случае, я бы, наверное, лучше использовал внешни...
by peredelkin
Thu Jan 25, 2018 7:21 am
Forum: Железо
Topic: Проблематика обвязки вокруг 3в АЦП
Replies: 183
Views: 217001

Re: Проблематика обвязки вокруг 3в АЦП

andreika wrote:
Thu Jan 25, 2018 5:54 am
А почему вы считаете, что не используем?
ну я немного не то имел ввиду. VDD 3.3в,а датчики от 5в же.
или я ошибаюсь по поводу напряжения vdd?
by peredelkin
Thu Jan 25, 2018 4:39 am
Forum: Железо
Topic: Проблематика обвязки вокруг 3в АЦП
Replies: 183
Views: 217001

Re: Проблематика обвязки вокруг 3в АЦП

Только правок кода от меня пока и не дождетесь. Нужно 407 изучить и как оно у вас там вертится в ОСи. Единственный момент - дополнительные расходы на масштабирование при измерении питалова датчиков. Однако,у нас 100 пиновый корпус в котором должен быть Vref. 407VGT6 же V = 100 pins. 21 вывод Vref O_...
by peredelkin
Thu Jan 25, 2018 3:04 am
Forum: Железо
Topic: Проблематика обвязки вокруг 3в АЦП
Replies: 183
Views: 217001

Re: Всякие глупые вопросы, терзающие меня

Да я как бы о том что возникает неизвестная переменная,которую либо измерять одним из каналов,либо опорное брать от 5в через делитель,чего на 407 мы не можем.

Отправлено с моего ASUS_Z010DD через Tapatalk

by peredelkin
Wed Jan 24, 2018 7:07 pm
Forum: Железо
Topic: Проблематика обвязки вокруг 3в АЦП
Replies: 183
Views: 217001

Re: Всякие глупые вопросы, терзающие меня

как вы контролируете напряжение питания датчиков?
на 32f307 опорное напряжение ацп внутреннее без вариантов.
дтож резистивный,дтв тоже резистивный,а питаются от 5в.
by peredelkin
Mon Jan 22, 2018 5:19 pm
Forum: Прошивка
Topic: Мониторинг смеси по узкополосному датчику кислорода
Replies: 39
Views: 69068

Re: Мониторинг смеси по узкополосному датчику кислорода

денег нет
не в деньгах дело
боюсь вместе с дк я выверну весь коллектор
шпильки резонатор<->коллектор уже не родные :)
by peredelkin
Mon Jan 22, 2018 1:30 pm
Forum: Прошивка
Topic: Мониторинг смеси по узкополосному датчику кислорода
Replies: 39
Views: 69068

Re: Мониторинг смеси по узкополосному датчику кислорода

Maxi wrote:
Mon Jan 22, 2018 11:30 am
бош где стоит УДК (современный) - ее очень даже легко измеряет!
интересно,а выравнивание по сигналу как происходит? если измерить _--_--_ или _--_-- то результат то разный
by peredelkin
Mon Jan 22, 2018 5:51 am
Forum: Прошивка
Topic: Мониторинг смеси по узкополосному датчику кислорода
Replies: 39
Views: 69068

Re: Мониторинг смеси по узкополосному датчику кислорода

http://mik-romanchuk.narod.ru/stex/im/graf_instr.jpeg если замерять средствами ацп,то и температуру придется. либо измерять скважность,но да,есть момент когда показания не изменяются. в этом случае таймер захвата должен досчитать до ovf,либо compare для сброса значений в 0 ,ну или 1,как вам нравитс...
by peredelkin
Sat Jan 20, 2018 7:51 am
Forum: Прошивка
Topic: Мониторинг смеси по узкополосному датчику кислорода
Replies: 39
Views: 69068

Re: Мониторинг смеси по узкополосному датчику кислорода

Просто задержка некоторая есть при усреднении.
А просто обогащать на некоторое малое значение или так же обеднять для постоянной коррекции без фильтрации ннльзя? :mrgreen:
by peredelkin
Fri Jan 19, 2018 7:16 pm
Forum: Прошивка
Topic: Мониторинг смеси по узкополосному датчику кислорода
Replies: 39
Views: 69068

Re: Всякие глупые вопросы, терзающие меня

сколько замеров используется для усреднения и какой период замера?
а еще.ткните носом на страницу в вики или тему на форуме где описано как прошивка настраивается под железо.
никак найти не могу.
я так понимаю,это все в tunerstudio настраивается?
by peredelkin
Fri Jan 19, 2018 5:09 pm
Forum: Прошивка
Topic: Мониторинг смеси по узкополосному датчику кислорода
Replies: 39
Views: 69068

Re: Всякие глупые вопросы, терзающие меня

а как насчет измерения скважности? отношения времен H и L (богатая и бедная). во всяком случае дополнительно к данным ацп. но то так,болтовня. в интернете,да и по логам видно как напряжение дк постоянно меняется. плохо,что гаража своего нет и зима есть ) до катализатора удк,а после - шдк :) думаю,к ...
by peredelkin
Mon Jul 17, 2017 8:20 pm
Forum: Железо
Topic: давай FPGA впиндюрим ! и обьеденим проекты ?
Replies: 182
Views: 235754

Re: давай FPGA впиндюрим ! и обьеденим проекты ?

Имеет место быть и бывает. Лучше во флудилке буду спрашивать.

Отправлено с моего ASUS_Z010DD через Tapatalk
by peredelkin
Mon Jul 17, 2017 8:02 pm
Forum: Железо
Topic: давай FPGA впиндюрим ! и обьеденим проекты ?
Replies: 182
Views: 235754

Re: давай FPGA впиндюрим ! и обьеденим проекты ?

XDA,вы ж где то писали ,что делали уже на плис обработку дпкв и таймеры.
предлагали DE0-nano,но почему не fpga+mc? SoC дорого,но можно ведь по fsmc общаться
by peredelkin
Mon Jul 17, 2017 1:04 pm
Forum: Железо
Topic: давай FPGA впиндюрим ! и обьеденим проекты ?
Replies: 182
Views: 235754

Re: давай FPGA впиндюрим ! и обьеденим проекты ?

так вам известна длительность импульса или нет?